Applied Materials launches wafer manufacturing platform to reduce cleanroom space by 30%

Published: 12-Jul-2023

The chip-focused materials engineering solutions company has launched the new platform to help chipmakers improve flexibility and sustainability in their processes

Applied Materials has introduced Vistara (trademarked), Applied’s most significant wafer manufacturing platform innovation in more than a decade, designed to provide chipmakers with the flexibility, intelligence and sustainability needed to tackle growing chipmaking challenges.

The Vistara platform builds upon the company’s long history of leadership in semiconductor manufacturing platforms that include Endura (registered trademark), Producer (registered trademark), Centura (registered trademark) and Centris (registered trademark), found in fabs around the world and used in the production of virtually every chip made.

Vistara was developed over more than four years by hundreds of engineers from across Applied’s hardware, software, process technology and ecoefficiency design teams.

“Like its predecessors, Vistara is designed to be a trusted platform for many years of customer innovation, reliability and productivity,” said Dr Prabu Raja, President of the Semiconductor Products Group at Applied Materials. “Vistara is being launched at an opportune time when the semiconductor industry needs unique solutions to address growing chipmaking challenges related to complexity, cost, cadence and carbon emissions.”

Flexibility

The Vistara platform is able to use an unprecedented wide variety of chamber types, sizes and configurations from Applied and its partners. It can be configured with four or six wafer batch load ports and from as few as four to as many as 12 process chambers to address a wide variety of workloads.

The Vistara platform accepts both smaller chambers used in processes like atomic layer deposition and chemical vapour deposition as well as larger chambers used in processes such as epitaxy and etching.

Vistara is being launched at an opportune time when the semiconductor industry needs unique solutions to address growing chipmaking challenges related to complexity, cost, cadence and carbon emissions

- Dr Prabu Raja, President of the Semiconductor Products Group at Applied Material

Applied and its customers can combine these chambers to develop IMS (trademarked) (Integrated Materials Solution (registered trademark)) recipes whereby a number of sequential wafer manufacturing process steps are completed in the same system, under vacuum. The flexibility of Vistara gives chipmakers IMS technology combinations that were never possible before, enabling them to deliver innovative transistors, memories and wiring, improve performance and power, and protect against yield-killing particles and defects.

Vistara’s flexibility helps chipmakers solve the growing complexity challenges of advanced chipmaking.

Intelligence

The Vistara platform’s intelligence helps customers address growing cadence and cost challenges by accelerating time to market and maximising productivity and yield in high-volume manufacturing. Vistara platforms are configured with thousands of sensors that feed massive, real-time data to Applied’s AIx (trademarked) software platform which offers applications spanning R&D, process transfer and ramp, and high-volume manufacturing.

Actionable data from thousands of process variables enables engineers to use the power of machine learning and AI to accelerate recipes that can result in the best chip performance and power and widest process windows.

Intelligence is incorporated throughout the platform including in the factory interface module where load locks are intelligently controlled to optimise pump and vent times, helping chipmakers reduce particles and defects to maximise yields. Platform robots are automatically calibrated to reduce start-up times by as much as 75%. In production, the Vistara platform continuously monitors and calibrates its components to minimise manual intervention, maximise uptime and predict maintenance needs.

Sustainability

The increase in semiconductor process complexity and steps adds to the energy and materials needed to produce each wafer. Vistara is the first platform purpose-built to advance Applied’s “3x30” initiative designed to achieve 30% reductions in equivalent energy use, the impact of chemical use, and cleanroom floorspace requirements, all by 2030.

Engineers completely redesigned the Vistara platform’s gas panels to reduce the equivalent energy consumption by more than 50% compared to the previous design and optimised the way the platform uses energy-intensive sub-fab components including pumps, heat exchangers and chillers.

Like this story? Subscribe to Cleanroom Technology magazine for incisive analysis of the latest news and developments in hi-tech industries manufacturing in controlled environments.

These improvements can lower platform energy consumption by as much as 35% compared to previous platforms, helping chipmakers reduce their Scope 1 and Scope 2 emissions. Vistara also reduces the cleanroom footprint of a system by as much as 30%. Such savings help customers generate more wafers in smaller facilities, reducing the use of carbon-intensive construction materials such as concrete and steel, a 30% reduction of which can potentially save 1 million metric tons of carbon for every 100K wafer-starts-per-month (WSPM) fab built.

Introducing EcoTwin Software

Applied is also introducing EcoTwin (trademarked) eco-efficiency software, available first with the Vistara platform. EcoTwin software uses sensor data to help engineers monitor the real-time energy and chemical consumption of chambers, systems and subfab components.

Process engineers can use the EcoTwin dashboard to compare the carbon impact of alternative chemicals, recipes and production techniques to continuously improve sustainability over the life of a node and track and report on progress toward sustainability goals.

You may also like